Simulación mediante lenguaje VHDL de una unidad de control microprogramada con fines diidácticos

  1. Rico López, Rafael
  2. Frutos Redondo, José Antonio de
  3. Clemente Párraga, Julia
  4. Meziat Luna, Daniel
Libro:
III Jornadas de Informática. Actas: El Puerto de Santa María (Cádiz), 14 al 18 de julio 1.997 [sic]
  1. Torres Cantero, Juan Carlos (dir. congr.)

Editorial: [Sevilla?] : Asociación Española de Informática y Automática,[1997]

ISBN: 84-8498-463-X

Año de publicación: 1997

Páginas: 475-476

Congreso: Jornadas de Informática (3. 1997. Puerto de Santa María)

Tipo: Aportación congreso

Resumen

En el presente trabajo se describe una aplicación desarrollada en lenguaje VHDL con el fin de realizar la simulación de la arquitectura de un microprocesador de 32 bits cuya unidad de control es microprogramada. El fin de esta aplicación es didáctico. Hemos querido aprovechar toda la potencia del VHDL a la didáctica de la Arquitectura de Computadores ya que nos permite, en el nivel más bajo, abstraer conocimiento obviando toda la problemática del conexionado de circuitos físicos y, en el nivel más avanzado, estudiar la implementación de algoritmos, técnicas arquitectónicas y sus rendimientos de una forma gráfica que sería muy difícil de llevar a cabo con dispositivos comerciales. El diseño de la aplicación cubre todos los aspectos propios de la Arquitectura de Computadores excepto el nivel tecnológico de implementación en silicio. Se ha construido la ruta de datos, se ha diseñado el juego de instrucciones y su formato, se ha determinado el tipo de secuenciamiento del microprograma y el formato de las microinstrucciones y se han corrido programas sobre esta máquina evaluando su correcto funcionamiento. Este trabajo no solo no agota las posibilidades didácticas de esta línea sino que permite explorar nuevos caminos.